uvm - Where does get_and_drive come from? -
since found every driver extends uvm_driver have task: get_and_drive below. checked uvm source code , uvm_cookbook, didn't find get_and_drive. seems not uvm rule, more convention. question is: first use task named get_and_drive() , why people use name get_and_drive in driver?
task run_phase(uvm_phase phase); get_and_drive(); endtask : run_phase task get_and_drive(); forever begin seq_item_port.get_next_item(req); send_to_dut(req); seq_item_port.item_done(); end endtask : get_and_drive
if in example/integrated/ubus
directory, in ubus_master_driver
you'll see method that's called get_and_drive()
. if go ovm, you'll see in xbus example defined same method in xbus_master_driver
.
since people learn example, guess convention stuck. think other methodology sites (like verification academy) promote same convention.
Comments
Post a Comment